集成电路设计和半导体器件哪个方向更好些?集成电路和半导体有什么区别

2024-04-18 20:51:03 文章来源 :网络 围观 : 评论
后台-系统设置-扩展变量-手机广告位-内容正文顶部

  就目前的形式来看,自然是集成电路设计比较抢手,就业选择多一些。

  做器件的话,如果不是在国外,国内的环境并不是太好,可以选择的范围比较窄。

  不过呢,万一国家突然抽风又想大力搞搞器件研发的话,就还真不好说了。

  集成电路不精准得说有三个方向,数字,模拟,器件,大二对应的专业课分别是数电+计算机组成原理,模电+信号与系统,半导体+半导体器件,你可以在大二就确定一个方向提早努力(不可能三个方向都精通)

  做数字好找工作,做器间好发文章在学术界混的开,模拟差不多介于二者之间,这个你需要结合自身发展目标和多方面信息去综合考虑。

  器件这个我不太了解,可能以后要多学多研究物理和材料,模拟的话建议熟读模拟设计业内三本圣经(我就学过一本拉扎维放弃了),数字的话需要学的东西就很多(但是比模拟和器件都简单一些),本科你需要学数电,组成原理,计算机体系结构,verilog和FPGA的编程,如果研究生搞纯理论研究我不太了解,如果真做数字芯片设计还得学Linux和synopsys软件的诸多套件使用。

  另外参赛是无法有效学习FPGA的,一开始不会,竞赛时间还紧张,只能让你学的囫囵吞枣,想学FPGA可以花小几百买个FPGA板子,商家都附带电子版教程够你学的,或者有本书叫《CPU自制入门》教你用verilog语言写一个CPU也挺不错。(我也才大四可能回答不一定准确希望对你有用)

  

集成电路设计和半导体器件哪个方向更好些?集成电路和半导体有什么区别

  

集成电路设计和半导体器件哪个方向更好些?集成电路和半导体有什么区别

  

集成电路设计和半导体器件哪个方向更好些?集成电路和半导体有什么区别

  

集成电路设计和半导体器件哪个方向更好些?集成电路和半导体有什么区别

来源:文章来源于网络,如有侵权请联系我们删除。
来源:文章来源于网络,如有侵权请联系我们删除。

相关文章

留言与评论(共有 0 条评论)
   
验证码:
推荐文章